Friday, 2022-06-03

*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC00:06
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga00:14
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga00:36
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC00:38
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga00:58
*** tpb <tpb!~supybot@underwood.mithis.com> has quit IRC01:00
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC01:00
*** tpb <tpb!~supybot@underwood.mithis.com> has joined #f4pga01:00
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC01:03
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga01:03
*** jacobk <jacobk!~quassel@129.110.242.224> has quit IRC01:09
*** jacobk <jacobk!~quassel@47-186-109-44.dlls.tx.frontiernet.net> has joined #f4pga01:59
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC03:57
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga04:50
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC07:04
*** yeti <yeti!~username@user/yeti> has quit IRC10:22
*** yeti <yeti!~username@user/yeti> has joined #f4pga10:24
*** yeti <yeti!~username@user/yeti> has quit IRC10:28
*** yeti <yeti!~username@user/yeti> has joined #f4pga10:30
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga12:18
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC12:25
*** indy <indy!~indy@dsl-static-104.213-160-167.telecom.sk> has quit IRC12:28
*** indy <indy!~indy@dsl-static-104.213-160-167.telecom.sk> has joined #f4pga12:32
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga12:37
*** indy_ <indy_!~indy@dsl-static-104.213-160-167.telecom.sk> has joined #f4pga12:38
*** indy <indy!~indy@dsl-static-104.213-160-167.telecom.sk> has quit IRC12:38
*** indy_ <indy_!~indy@dsl-static-104.213-160-167.telecom.sk> has quit IRC12:42
*** indy <indy!~indy@dsl-static-104.213-160-167.telecom.sk> has joined #f4pga12:42
*** indy <indy!~indy@dsl-static-104.213-160-167.telecom.sk> has quit IRC12:47
*** indy <indy!~indy@dsl-static-104.213-160-167.telecom.sk> has joined #f4pga12:54
*** indy <indy!~indy@dsl-static-104.213-160-167.telecom.sk> has quit IRC13:02
*** indy <indy!~indy@dsl-static-104.213-160-167.telecom.sk> has joined #f4pga13:20
*** TMM_ <TMM_!hp@amanda.tmm.cx> has quit IRC14:26
*** TMM_ <TMM_!hp@amanda.tmm.cx> has joined #f4pga14:26
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC14:34
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga17:06
*** adjtm <adjtm!~adjtm@79.red-88-1-188.dynamicip.rima-tde.net> has joined #f4pga17:12
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga17:30
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC17:47
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC17:58
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga18:04
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC18:08
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga18:29
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC19:16
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga19:24
*** jacobk <jacobk!~quassel@47-186-109-44.dlls.tx.frontiernet.net> has quit IRC19:46
*** jacobk <jacobk!~quassel@47-186-109-44.dlls.tx.frontiernet.net> has joined #f4pga19:47
*** zyp <zyp!zyp@zyp.no> has quit IRC19:48
*** zyp <zyp!~zyp@zyp.no> has joined #f4pga19:55
lambdasynthesizing a blinky takes 90s from verilog to bitstream for my xc7a35t, adding a liteeth core makes it jump to 6 minutes. is this expected? is there any way to make it faster? it seems to spend quite a bit of time on "Net '[...]' is impossible to route within proposed BLK-TL-SLICEL cluster" messages, not sure if that's normal21:07
lkcllambda: nextpnr-xilinx is way quicker than vtr (used in symbiflow)21:11
lambdadoes it work? I thought it was mostly dead21:11
lkcli've been using it for complex designs and i haven't found massive show-stoppers yet21:12
lkclit's actually faster build time than nextpnr-ecp5 for the same design, although to be honest that could be down to the fact that the xc7100t (arty a7 100t) has more spare resources21:13
lkcl100k LUTs as compared to an 85k LUTs ECP521:13
lkclso is simply having an easier time routing21:14
lambdaI've used it in the past, but at the time it was somewhat abandoned and yosys changes kept breaking it from time to time21:14
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC21:14
lambdagood to hear it's still hanging on21:14
lkclyeah we use yosys-0.13 very deliberately21:14
lambdaoh21:15
lkclbut the reason for that is down to mix-and-match APIs with GHDL and yosys-ghdl-plugin21:15
lkclthere was a cross-over point about... mmm.... a year ago?21:15
lkclwhere *both* ghdl *and* yosys changed APIs21:15
lambdaidk, ghdl-yosys-plugin seems to work fine with yosys master21:16
lkclghdl-yosys-plugin was caught in the crossfire of the two incompatible changes21:16
lkclyeah it's likely things have "caught up" now, like the concertina-traffic-jam-effect :)21:17
lkclif you want a confirmed-stable-repro-build script for nextpnr-xilinx, to try it out:21:18
lkclhttps://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=nextpnr-xilinx-install;hb=HEAD21:18
tpbTitle: git.libre-soc.org Git - dev-env-setup.git/blob - nextpnr-xilinx-install (at git.libre-soc.org)21:18
lambdathanks, I might come back to that if I can't get it working as-is21:18
lkcllines 85 onwards if you've a different FPGA from the xc7100t you'd need to adapt it21:19
lambdaright now I'm happy to have an FPGA that replies to ICMP :)21:19
lkcl:)21:19
lambdaoh cool, someone actually packaged it in the AUR in the meantime, let's see how broken that is21:26
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga21:26
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC21:36
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga21:43
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:41f8:3618:d39b:902a> has quit IRC21:45
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has joined #f4pga21:47
lambdaupdate: somewhat21:52
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has quit IRC21:55
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has joined #f4pga21:58
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has quit IRC22:02
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:2c93:9816:7972:53c8> has joined #f4pga22:03
*** adjtm <adjtm!~adjtm@79.red-88-1-188.dynamicip.rima-tde.net> has quit IRC22:41
*** adjtm_ <adjtm_!~adjtm@79.red-88-1-188.dynamicip.rima-tde.net> has joined #f4pga22:41
lambdalkcl: hah, 60s for the liteeth design with nextpnr-xilinx22:51
lambdaand at least half of that is yosys22:51

Generated by irclog2html.py 2.17.1 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!