Thursday, 2022-10-27

*** TMM_ <TMM_!hp@amanda.tmm.cx> has quit IRC00:07
*** TMM_ <TMM_!hp@amanda.tmm.cx> has joined #f4pga00:07
*** jacobk <jacobk!~quassel@64.189.201.150> has quit IRC02:17
*** yeti <yeti!~username@user/yeti> has quit IRC03:20
*** jn <jn!~quassel@user/jn/x-3390946> has quit IRC03:20
*** ecs <ecs!ecs@user/ecs> has quit IRC03:20
*** sauce <sauce!~sauce@omae.wa.mou.shindei.ru> has quit IRC03:20
*** tucanae47 <tucanae47!sid429270@2a03:5180:f:2::6:8cd6> has quit IRC03:20
*** benreynwar <benreynwar!sid299523@2a03:5180:f:2::4:9203> has quit IRC03:20
*** benreynwar <benreynwar!sid299523@id-299523.lymington.irccloud.com> has joined #f4pga03:20
*** yeti <yeti!~username@p4fdb1c5c.dip0.t-ipconnect.de> has joined #f4pga03:20
*** yeti <yeti!~username@user/yeti> has joined #f4pga03:21
*** tucanae47 <tucanae47!sid429270@id-429270.lymington.irccloud.com> has joined #f4pga03:23
*** sauce <sauce!~sauce@omae.wa.mou.shindei.ru> has joined #f4pga03:23
*** jn <jn!~quassel@2a02:908:1066:b7c0:20d:b9ff:fe49:15fc> has joined #f4pga03:24
*** jn <jn!~quassel@2a02:908:1066:b7c0:20d:b9ff:fe49:15fc> has quit IRC03:24
*** jn <jn!~quassel@user/jn/x-3390946> has joined #f4pga03:24
*** ecs <ecs!ecs@user/ecs> has joined #f4pga03:24
*** jacobk <jacobk!~quassel@64.189.201.150> has joined #f4pga04:17
*** ZipCPU_ <ZipCPU_!~ZipCPU@c-73-99-155-72.hsd1.va.comcast.net> has joined #f4pga09:28
*** ZipCPU <ZipCPU!~ZipCPU@2601:5cd:c200:63c0:1ac0:4dff:fe02:d4f0> has quit IRC09:29
*** ZipCPU_ is now known as ZipCPU09:29
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:5402:e459:3902:8110> has joined #f4pga09:54
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:100c:dff0:20dc:7075> has quit IRC09:55
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC11:09
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga11:24
*** cr1901_ is now known as cr190113:38
*** jacobk <jacobk!~quassel@64.189.201.150> has quit IRC13:44
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has joined #f4pga14:29
*** Raito_Bezarius <Raito_Bezarius!~Raito@wireguard/tunneler/raito-bezarius> has quit IRC14:32
mithroA long time ago, someone did a really nice diagram of the Xilinx 7 Series CLB and now I can't find it15:54
mithro@kgugala: Any idea where it ended up?15:55
F4PGASlackBridge<kgugala> Hmm, I'll try to find it15:55
*** jacobk <jacobk!~quassel@utdpat242030.utdallas.edu> has joined #f4pga16:34
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC18:48
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga18:50
*** jacobk <jacobk!~quassel@utdpat242030.utdallas.edu> has quit IRC18:59
*** jacobk <jacobk!~quassel@64.189.201.150> has joined #f4pga19:16
F4PGASlackBridge<gsmecher> If you do, and can share - please drop it in here!19:16
*** josuah <josuah!~irc@46.23.94.12> has quit IRC22:38
*** josuah <josuah!~irc@46.23.94.12> has joined #f4pga22:40

Generated by irclog2html.py 2.17.1 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!