Wednesday, 2023-01-04

*** bjorkintosh <bjorkintosh!~bjork@2600:1700:5400:c80:2d80:e0f2:2c44:b156> has quit IRC00:30
*** jacobk <jacobk!~quassel@47-186-81-17.dlls.tx.frontiernet.net> has joined #f4pga01:47
F4PGASlackBridge<hansfbaier> I wonder why we got the wrong tile type here in tilegrid.json:02:11
*** bl0x <bl0x!~bl0x@p200300d7a7107100783013c866f7b712.dip0.t-ipconnect.de> has joined #f4pga02:54
*** bl0x_ <bl0x_!~bl0x@p549d7d0d.dip0.t-ipconnect.de> has quit IRC02:55
mithro@kgugala - https://github.com/hdl/conda-eda/pull/272#issuecomment-137121671017:37
mithroAlso https://github.com/chipsalliance/yosys-f4pga-plugins/issues/43217:48
*** jacobk <jacobk!~quassel@47-186-81-17.dlls.tx.frontiernet.net> has quit IRC20:17
*** JakeZ12 <JakeZ12!~JakeZ12@76.136.200.69> has joined #f4pga20:43
JakeZ12Hello- his is my first time in irc and my first attempt at using open source fpga tools. Is this a place where I can ask for feedback on an error message I get when building one of the f4pga example projects to bitstream?20:46
F4PGASlackBridge<kgugala> Hi JakeZ1220:51
F4PGASlackBridge<kgugala> yes, this is a good place to ask20:51
*** chexum_ <chexum_!~quassel@gateway/tor-sasl/chexum> has quit IRC20:55
*** chexum <chexum!~quassel@gateway/tor-sasl/chexum> has joined #f4pga20:56
JakeZ12great thank you!20:59
JakeZ12I went through the F4PGA getting started pages and followed the toolchain installation for "Newcomers" which meant setting the environment variables, downloading the tarballs, setting up and activating the conda environment, etc, and then when I go to synthesize and generate the bitstream for the 'timer' example it seems to go through synthesis and20:59
JakeZ12then I get an error on step #58. "Executing BLIF backend".20:59
JakeZ12trying to upload a screenshot but it's having trouble21:00
JakeZ12Error 1: ~/opt/f4pga/xc7/share/f4pga/arch/xc7a50t_test/arch.timing.xml:-1 Failed to open file21:04
JakeZ12Traceback (most recent call last):21:04
JakeZ12  File "/home/jake/opt/f4pga/xc7/conda/envs/xc7/bin/symbiflow_pack", line 8, in <module>21:04
JakeZ12    sys.exit(pack())21:04
JakeZ12  File "/home/jake/opt/f4pga/xc7/conda/envs/xc7/lib/python3.7/site-packages/f4pga/wrappers/sh/__init__.py", line 502, in pack21:04
JakeZ12    p_vpr_run(["--pack"] + extra_args, env=p_vpr_env_from_args("pack"))21:04
JakeZ12  File "/home/jake/opt/f4pga/xc7/conda/envs/xc7/lib/python3.7/site-packages/f4pga/wrappers/sh/__init__.py", line 135, in p_vpr_run21:04
JakeZ12    + args,21:04
JakeZ12  File "/home/jake/opt/f4pga/xc7/conda/envs/xc7/lib/python3.7/subprocess.py", line 363, in check_call21:04
JakeZ12    raise CalledProcessError(retcode, cmd)21:04
JakeZ12here is part of the error message, if anyone can help deciphering what my issue is I would greatly appreciate it. I am on Ubuntu21:04
JakeZ12the file in question "arch.timing.xml" is in the proper location21:08
F4PGASlackBridge<kgugala> `error on step #58. "Executing BLIF backend".` <-- this is still part of synthesis. Is there any more info around this message?21:14
F4PGASlackBridge<kgugala> you can upload the logs to e.g. pastebin and link here21:15
JakeZ12https://pastebin.com/sHLa5KVi21:16
JakeZ12darn, I thought I made it out of the synthesis step '=D21:16
F4PGASlackBridge<kgugala> the log you pasted doesn't have the BLIF error21:20
JakeZ12https://pastebin.com/bTnUECzZ21:22
JakeZ12I just left out the line that says "58. Executing BLIF backend"21:22
F4PGASlackBridge<kgugala> ok, so it finished synthesis21:22
F4PGASlackBridge<kgugala> the error is from VPR21:22
F4PGASlackBridge<kgugala> not yosys21:22
F4PGASlackBridge<kgugala> hmm I just did everything from scratch and it works fine21:30
F4PGASlackBridge<kgugala> I followed https://f4pga-examples.readthedocs.io/en/latest/index.html21:30
F4PGASlackBridge<kgugala> can you try doing a fresh clone and going through the docs command by command?21:30
F4PGASlackBridge<kgugala> the commands from the docs are tested in CI and are "green"21:31
F4PGASlackBridge<kgugala> this is the latest CI run https://github.com/chipsalliance/f4pga-examples/actions/runs/3833944347/jobs/652586577721:32
F4PGASlackBridge<kgugala> all ubuntu tests (actually all the tests) are passing21:32
JakeZ12yeah I'll go through it again step by step and make sure I didn't make any errors along the way. I appreciate your help thank you21:34
F4PGASlackBridge<kgugala> BTW which example you tried to build21:35
JakeZ12I was trying to build the "timer" example21:36
JakeZ12cd to f4pga-examples/xc7 and ran make -C timer21:37
F4PGASlackBridge<kgugala> I checked with counter21:37
F4PGASlackBridge<kgugala> let me try timer21:37
F4PGASlackBridge<kgugala> and which target?21:37
F4PGASlackBridge<kgugala> it defaults to BASYS21:38
F4PGASlackBridge<kgugala> seems to be working in my case21:39
JakeZ12yeah the basys3 board21:39
F4PGASlackBridge<kgugala> I have similar logs as in CI https://github.com/chipsalliance/f4pga-examples/actions/runs/3833944347/jobs/652586628221:39
*** JakeZ12 <JakeZ12!~JakeZ12@76.136.200.69> has quit IRC23:09
*** jacobk <jacobk!~quassel@2603-8080-b200-7b02-77cb-6304-f9db-dda1.res6.spectrum.com> has joined #f4pga23:19
*** jacobk <jacobk!~quassel@2603-8080-b200-7b02-77cb-6304-f9db-dda1.res6.spectrum.com> has quit IRC23:37
*** jacobk <jacobk!~quassel@2603-8080-b200-7b02-77cb-6304-f9db-dda1.res6.spectrum.com> has joined #f4pga23:53

Generated by irclog2html.py 2.17.1 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!