Monday, 2024-03-04

*** jacobk <jacobk!~quassel@129.110.242.173> has quit IRC00:31
*** jacobk <jacobk!~quassel@utdpat242026.utdallas.edu> has joined #f4pga00:42
*** jacobk_ <jacobk_!~quassel@129.110.242.173> has joined #f4pga00:49
*** jacobk <jacobk!~quassel@utdpat242026.utdallas.edu> has quit IRC00:49
*** jacobk_ <jacobk_!~quassel@129.110.242.173> has quit IRC01:08
*** jacobk <jacobk!~quassel@64.189.201.150> has joined #f4pga04:43
*** ZipCPU <ZipCPU!~ZipCPU@c-73-99-155-72.hsd1.va.comcast.net> has quit IRC07:38
*** ZipCPU <ZipCPU!~ZipCPU@2601:5cd:c200:63c0:1ac0:4dff:fe02:d4f0> has joined #f4pga07:39
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC08:42
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga08:43
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC08:46
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga08:46
*** gromero <gromero!~gromero@2804:7f0:b401:120f:a4b2:91d8:ce8:af88> has joined #f4pga13:18
*** gromero_ <gromero_!~gromero@2804:7f0:b401:120f:a4b2:91d8:ce8:af88> has joined #f4pga13:18
*** jacobk <jacobk!~quassel@64.189.201.150> has quit IRC15:51
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC17:17
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga17:17
*** lxsameer <lxsameer!~lxsameer@Serene/lxsameer> has joined #f4pga19:47
lxsameerhey folks, what compiler f4pga uses?19:50
gromerolxsameer, https://f4pga.readthedocs.io/en/latest/getting-started.html#toolchain-installation21:06
gromerobasically yosys, nextpnr or vpr21:06
gromeroit's toolchain ...21:06
lxsameergromero: so does that mean I only need yosys, nextpnr or vpr to write verilog and bitstream it to my xilinx 7 series?21:11
lxsameerI'm a bit lost about the role that f4pga plays21:12
gromerolxsameer, f4pga is like an umbrella of projets enabling a full toolchain that has as output of it's outputs the bistream, several tools are developed as part of the f4pga, like FASM format21:18
gromeroI think here is good explanation about it: https://f4pga.readthedocs.io/en/latest/how.html21:19
lxsameergromero: interesting. Is it correct to say, with f4pga i don't need the closed source xilinx toolchain anymore?21:19
gromerolxsameer, iirc, for some series, that's correct, but double check it, it's been a while I don't touch it ...21:20
lxsameergromero: thank you21:22
gromeronp21:28
*** chexum <chexum!~quassel@gateway/tor-sasl/chexum> has quit IRC21:52
*** chexum <chexum!~quassel@gateway/tor-sasl/chexum> has joined #f4pga21:53
*** jacobk <jacobk!~quassel@utdpat241106.utdallas.edu> has joined #f4pga21:57
*** lxsameer <lxsameer!~lxsameer@Serene/lxsameer> has quit IRC22:25
*** lxsameer <lxsameer!~lxsameer@Serene/lxsameer> has joined #f4pga22:26
*** lxsameer <lxsameer!~lxsameer@Serene/lxsameer> has quit IRC22:43
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::12> has joined #f4pga22:49
*** so-offish <so-offish!~so-offish@2610:148:610:2b11::12> has quit IRC23:02

Generated by irclog2html.py 2.17.1 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!