Wednesday, 2022-05-11

*** tpb <tpb!~supybot@underwood.mithis.com> has quit IRC01:00
*** tpb <tpb!~supybot@underwood.mithis.com> has joined #f4pga01:00
*** ec <ec!~ec@gateway/tor-sasl/ec> has quit IRC01:41
*** TMM_ <TMM_!hp@amanda.tmm.cx> has quit IRC05:33
*** TMM_ <TMM_!hp@amanda.tmm.cx> has joined #f4pga05:33
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:69e1:96e5:bc4a:3f5> has quit IRC06:32
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:717e:277d:77ae:49d9> has joined #f4pga07:07
*** cr1901_ <cr1901_!~cr1901@2601:8d:8600:911:547b:5317:6105:b877> has joined #f4pga08:13
*** cr1901 <cr1901!~cr1901@2601:8d:8600:911:717e:277d:77ae:49d9> has quit IRC08:13
*** ec <ec!~ec@gateway/tor-sasl/ec> has joined #f4pga15:52
*** TMM_ <TMM_!hp@amanda.tmm.cx> has quit IRC15:55
*** TMM_ <TMM_!hp@amanda.tmm.cx> has joined #f4pga15:55
*** cr1901_ is now known as cr190116:01
F4PGASlackBridge<amuttur> Hi there! I wanted to know if anyone has used a board with a differential clock in Symbiflow?17:36
josuah@amuttur can I have a hint about what a differential clock is?17:47
josuahthat got me curious :)17:48
josuah@amuttur I cannot answer diretctly, but I can point you to this: https://joelw.id.au/FPGA/CheapFPGADevelopmentBoards18:07
tpbTitle: Cheap FPGA Development Boards | Joel's Compendium of Total Knowledge (at joelw.id.au)18:07
F4PGASlackBridge<amuttur> Of course! So usually, clocks are defined as a single ended clock (i.e clk). A differential clock has a positive and a negative part to it, but can be converted to a single ended clock in Vivado by using the clock wizard18:10
F4PGASlackBridge<amuttur> There's a buffer that does the same and I think it is called IBUFDS. But F4PGA has issues reading this during the packing stage of VPR even though it exists in the library.18:11
josuahthank you! That helped me getting on the rigth track.18:36
josuah@amuttur I encounter things like this: https://stackoverflow.com/questions/40096272/how-do-i-use-set-lvds-mode-on-lattice-ice40-pins-using-icestorm-tools18:38
tpbTitle: verilog - How do I use set LVDS mode on Lattice ICE40 pins using ICESTORM tools - Stack Overflow (at stackoverflow.com)18:38
josuahif anything hardware-related, it should be somewhere like /usr/local/share/yosys/18:39
josuahthere are blackbox verilog files here, that might be able to control the hardware in order to do that (hopefully?)18:39
josuahand there might be documentation on yosyshq about that https://yosyshq.readthedocs.io/en/latest/18:40
tpbTitle: YosysHQ Documentation Library (at yosyshq.readthedocs.io)18:40
josuahbut I have also often seen hardware features undocumented, or maybe just me not finding it...18:40
josuahmaybe it is rather documented on the nextpnr-$X with $x a project for supporting a set of boards18:41
josuahso different people documenting it in different ways? maybe that is why I did not find it at first18:41
F4PGASlackBridge<amuttur> I see. But the synthesis portion is fine, so the Yosys library is okay. The issue occurs when it comes to VPR during the packing stage when it reads the eblif file produced by Yosys. It says IBUFDS is not recognized in the VPR library19:06
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC20:09
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga20:24
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC21:28
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga21:43
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga21:49
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC21:52
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga22:19
*** littlebo1eep <littlebo1eep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC22:22
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC22:54
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga22:59
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC23:10
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga23:11
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC23:27
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has joined #f4pga23:37
*** adjtm_ <adjtm_!~adjtm@3.red-83-56-62.dynamicip.rima-tde.net> has joined #f4pga23:40
*** adjtm <adjtm!~adjtm@3.red-83-56-62.dynamicip.rima-tde.net> has quit IRC23:42
*** littlebobeep <littlebobeep!~alMalsamo@gateway/tor-sasl/almalsamo> has quit IRC23:50
*** chexum <chexum!~quassel@gateway/tor-sasl/chexum> has quit IRC23:59
*** chexum <chexum!~quassel@gateway/tor-sasl/chexum> has joined #f4pga23:59

Generated by irclog2html.py 2.17.1 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!