Sunday, 2022-03-27

Veera[m]bug: 750 converted and uploaded all 4 images to svg format. Kindly give review.11:04
lkclVeera[m], brilliant, 1 sec11:07
Veera[m]lkcl:  In io_tristate_jtag.svg 2nd picture from bottom I have put ?? Tristate title. What should be ??11:17
lkclVeera[m], i already replied to that.  remove the "??"11:23
lkclhttps://bugs.libre-soc.org/show_bug.cgi?id=750#c811:24
Veera[m]Ok.11:24
Veera[m]Is this bug still applicable for payment, or timeline has been missed.11:25
lkclha, the jtag block image looks really good11:25
lkcldeadline is Oct 1st 202211:25
lkclah you did the tristate one too, with the 4 IN/OUT ABCD, that's brilliant. looks good too11:29
Veera[m]Will this bug be submitted for payment after corrections and upload to page. I have need for some money.11:46
Veera[m]Is there any other short paid work which can be combined with this.11:47
lkclyes of course you can submit it for payment. i increased to EUR 500 for you11:49
lkclwe do need nextpnr-xilinx to have a devscript11:50
lkcland another one for: dfutil, openFPGALoader, ujprog and fujprog https://github.com/emard/ulx3s/blob/master/doc/MANUAL.md11:52
lkclcan you raise 2 bugreports about those11:52
lkclone for nextpnr-xilinx, the other for the FPGA tools.11:53
lkcli can put some budget in for you under https://bugs.libre-soc.org/show_bug.cgi?id=2211:54
lkclbut we have to be careful as the limit of EUR 50,000 is approaching11:54
Veera[m]nextpnr-xilinx to support xc7?12:12
Veera[m]dfutil: Device Firmware Upgrade Utilities?12:13
lkclVeera[m], yes12:33
lkclturns out nextpnr-xilinx is much faster than symbiflow.  like, 5x faster12:34
Veera[m]Yep read in ml you sent about that 5x faster; routing wastage in symbiflow.12:42
Veera[m]In a short while I will open two bug reports for them.12:42
lkclstar12:43
Veera[m]lkcl:  bug 790, 791 created for the above mentioned things. You may put the needed budget for them.13:39
lkclVeera[m], excellent.  790 done already13:52
lkcl791 as well14:14
Veera[m]yep. saw. ok. thanks14:15
Veera[m]I will start work on them.14:15
lkclbrilliant. the dfutil ones should be dead easy and straightforward14:16
Veera[m]nextpnr-xilinx supports xc7a35tcsg324. But we are targeting Arty7-100T. From what I learnt with symbiflow, to make it work, it may be just some device changes to attosoc.sh and its precursors command. Have you found out?14:29
lkcli had to copy some files, xc7a100t is supported14:36
Veera[m]that is good. I will find and work out.14:40
lkcloleee! HyperRAM is working!16:51
lkclabout frickin time16:51
tplatenI'have been able to get microwatt-verilator to compile tplaten_3d_game after uncommenting a few lines18:19
lkcltplaten, hooray18:23
tplatenSo maybe in the next few days I might get linux to boot in the backport using verilator. After this I try using the orangecrab.18:24
lkcltplaten, you may have better luck than i did with that, after i discovered we had missed out propagating "nc" through LDST into dcache.18:25
lkcldoh :)18:25
lkclso there was for example some polling of the UART18:25
lkclwhich of course it goes "oh i read this cached value already, let me give you that"18:25
lkcl*face-palm*18:25
lkcli fixed that... mmm... last month?18:26
lkclbut haven't run microwatt-verilator with the microwatt linux-5.7 initrd since then18:26
tplatenI ran verilator once, but not using the libre-soc core and not using linux either.18:30
lkclit's horribly slow, only 5000 instructions per second, but that's enough to run helloworld.bin in about... 90 seconds, and micropython.bin in around the same18:39
lkcl1-2 UART characters per second, approximately18:39
tplatenI know, even the microwatt core is slow, but libre-soc is much slower18:58

Generated by irclog2html.py 2.17.1 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!