Friday, 2022-04-08

programmerjakelkcl, read through most of the svp64 branch page in the wiki, lgtm except for one change i made...changed a mention of CUDA to OpenCL-style08:47
lkcli can't quite believe how many times i re-read the spec pages and end up noticing new things every time. it's sometimes well over 20 times a day10:50
Veera[m]lkcl: Can we consider Bugs: 750, 790 and 791 finished?10:53
lkclVeera[m], yeah i'd say so, great work.  if you'd like to do one more, there is ls2?12:11
lkcli created this but haven't run it, and there's no wiki page for it either12:12
lkclhttps://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=hdl-dev-ls2;hb=HEAD12:12
Veera[m]ls2: what I have to do?12:13
lkclbasically run it, on the uoregon server, and check you can get "helloworld.bin"12:18
lkclthe two crucial commands are, in the soc directory:12:18
lkclmake microwatt_external_core12:19
lkcland12:19
lkclpython3 src/ls2.py arty_a7 hello_world.bin12:19
lkclbut external_core_top.v must be copied from soc (after the make microwatt_external_core command produces it) into the ls2 directory12:20
lkcland hello_world.bin must be made in microwatt12:20
Veera[m]I will check and try! This info feels terse. But let me become familiar, then may get it.12:23
lkcli created a *real* bare-bones page here https://libre-soc.org/HDL_workflow/ls2/12:26
lkcland if you look at the uoregon nextpnr-xilinx schroot, it is what i did there12:26
lkclah12:26
lkclforgot to add nextpnr-xilinx to https://libre-soc.org/HDL_workflow/ls2/ will do that now12:26
lkclmostly it is about running all of the devscripts, but in the right order.12:28
Veera[m]After trying all this the hello_world.bin bitstream has to be run in uoregon Silicon server and ssh consoled to confirm "Hello World" gets printed?12:33
lkclyes12:45
lkcli already have it done / running so i know that it works12:45
Veera[m]So have to update the ls2 wikipage about this or telling it works is enough?12:48
lkclboth - by following the ls2 wikipage, someone else needs to be able to do that and get it working12:50
lkclnothing like as sophisticated as this https://shenki.github.io/boot-linux-on-microwatt/12:51
Veera[m]Saw the page is nice!12:58
Veera[m]Have you opened any bug report and allotted? budget!12:59
lkcldo make a bugreport.  let's say EUR 600 again?13:07
lkclyes, shenki's page is nice, that will be another one, another time13:07
Veera[m]May I do this work in next batch. What title I have to give for bug report. Which NlNet Milestone? First SoC!13:10
Veera[m]Let me see if I can work out this quickly!13:10
lkclyes first soc. if you can do it quickly i can tell the IBM India Education team it is ready for someone to try13:23
Veera[m]Bug title: Document steps for ls2 microwatt hello world for fpga boards13:37
Veera[m]Is this title Okay?13:37
lkclyes that's great13:40
lkcl(titles can always be changed)13:40
Veera[m]oh. ok. I will open bug report. And start work in a short while. thanks.13:42
ghostmansdHi folks, a crazy question: do we have some _correct_ mnemonic along with args which occupies more than 4 bytes?14:21
ghostmansdI'm cleaning code a bit, and would like to convert any SVP64 instruction to "nop" for a while in gas14:22
ghostmansdFirst, I check for `sv.` prefix; unless present, I pass the string to usual PPC14:23
ghostmansdSo, I guess, any mnemonic's string repr in our scheme has at least 4 bytes: "sv.X\0", right?14:24
ghostmansdAnd I can simply override the original string with "nop" for now.14:24
ghostmansdObviously until stuff works. :-)14:25
ghostmansdYeah, it seems it works. Oddly I had to write all these thoughts here to realize that my assumptions were correct.14:26
lkclghostmansd[m], you're the 2nd person to talk to themselves in 24hr :)15:45
lkclit's a magic self-solving IRC channel!15:46
lkclyes it would be perfect idea to put nop.  the next trick would be to instead put ".long 0xNNNNNN; op"15:47
lkclghostmansd[m], there's dozens of examples at the end here https://git.libre-soc.org/?p=openpower-isa.git;a=blob;f=src/openpower/sv/trans/svp64.py;hb=HEAD15:54

Generated by irclog2html.py 2.17.1 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!