Saturday, 2022-02-12

lkclokaay, i'm not having much luck getting the ulx3s to be a build target on microwatt's native ecp5 build system19:55
lkclthe good news is that i got nextpnr-xilinx to work with a blinky-light example in nmigen19:55
lkclironically nextpnr-xilinx was hit by the exact same CARRY4 problem as symbiflow, just with different symptoms19:56

Generated by irclog2html.py 2.17.1 by Marius Gedminas - find it at https://mg.pov.lt/irclog2html/!