Installation instructions for Verilator

Setting up new debootstrap and chroot into it

Run the following if you wish to isolate the verilator build from other software (reproducible builds) or use the schroot auto-preparation script here: https://git.libre-soc.org/?p=dev-env-setup.git;a=blob;f=mk-deb-chroot;hb=HEAD

export MY_CHROOT=/opt/chroot/verilator
mkdir $MY_CHROOT
debootstrap stable $MY_CHROOT http://deb.debian.org/debian/
mount -t proc proc $MY_CHROOT/proc
mount -t sysfs sysfs $MY_CHROOT/sys
mount -t devpts devpts $MY_CHROOT/dev/pts/
chroot $MY_CHROOT /bin/bash

The dev-env-setup chroot script is a little more sophisticated than the above

Steps to compile Verilator

Necessary software to install

apt-get install git build-essential g++ ccache
apt-get install python3 make autoconf flex bison \
                libgoogle-perftools-dev perl-doc \
                numactl zlibc zlib1g-dev

Build Verilator

git clone https://github.com/verilator/verilator
cd verilator
git checkout v4.106
autoconf
./configure
make -j$(nproc)
make install

Please adjust the install paths for verilator.