This is the SandBox, a page anyone can edit to learn how to use the wiki.
Some codebox:
from enum import Enum, unique
from nmigen import *
@unique
class selects(Enum):
sel_A = 0
sel_B = 1
sel_C = 2
sel_D = 3
sel_E = 4
sel_F = 20
class Top(Elaboratable):
def __init__(self):
self.in_ = Signal(selects)
self.out = Signal()
def elaborate(self, platform):
m = Module()
m.d.comb += self.out.eq(self.in_)
with m.If(self.in_ == selects.sel_F):
m.d.comb += self.out.eq(1)
with m.Else():
m.d.comb += self.out.eq(0)
return m
from nmigen.back import verilog
f = open("top.v", "w")
top = Top()
ports = [top.in_, top.out]
f.write(verilog.convert(top, name='top',strip_internal_attrs=True,ports=ports))
experiment with single bullet
heading
- hello
- bullet2
test indentation test again
heading again
bullet now two blank lines after
indent after 2 blank lines more indent
Here's a paragraph.
Here's another one with emphasised text.
Header
Subheader
This is a blockquote.
This is the first level of quoting.
This is nested blockquote.
Back to the first level.
Numbered list
- First item.
- Another.
- And another..
Bulleted list
- item
- item